blob: bbdf09ceca62d2471ad509e33067eb373bec810e (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
|
*** src/support.c.orig 2007-05-18 21:31:17.000000000 +0900
--- src/support.c 2007-09-17 22:11:27.000000000 +0900
***************
*** 1678,1686 ****
, NULL, (long) c, NULL);
case '\t': /* Horizontal space */
case ' ':
! if (mcpp_mode == OLD_PREP) {
! if ((*(tp - 1) != ' ' && *(tp - 1) != COM_SEP))
! *(tp - 1) = ' '; /* Squeeze COM_SEP with spaces */
} else {
if (*(tp - 1) != ' ')
*tp++ = ' '; /* Squeeze white spaces */
--- 1678,1685 ----
, NULL, (long) c, NULL);
case '\t': /* Horizontal space */
case ' ':
! if (mcpp_mode == OLD_PREP && (*(tp - 1) == COM_SEP)) {
! *(tp - 1) = ' '; /* Squeeze COM_SEP with spaces */
} else {
if (*(tp - 1) != ' ')
*tp++ = ' '; /* Squeeze white spaces */
|