summaryrefslogtreecommitdiffstats
path: root/abs/core/wmaker_settings/GNUstep/Library/WindowMaker/CachedPixmaps/licensedialog.Opera.xpm
diff options
context:
space:
mode:
Diffstat (limited to 'abs/core/wmaker_settings/GNUstep/Library/WindowMaker/CachedPixmaps/licensedialog.Opera.xpm')
-rw-r--r--abs/core/wmaker_settings/GNUstep/Library/WindowMaker/CachedPixmaps/licensedialog.Opera.xpm751
1 files changed, 751 insertions, 0 deletions
diff --git a/abs/core/wmaker_settings/GNUstep/Library/WindowMaker/CachedPixmaps/licensedialog.Opera.xpm b/abs/core/wmaker_settings/GNUstep/Library/WindowMaker/CachedPixmaps/licensedialog.Opera.xpm
new file mode 100644
index 0000000..05a3a99
--- /dev/null
+++ b/abs/core/wmaker_settings/GNUstep/Library/WindowMaker/CachedPixmaps/licensedialog.Opera.xpm
@@ -0,0 +1,751 @@
+/* XPM */
+static char *image[] = {
+"48 48 700 2",
+" c None",
+"00 c #f4f4f4",
+"10 c #dbdbdb",
+"20 c #cccccc",
+"30 c #bebebe",
+"40 c #8b7878",
+"50 c #865f5f",
+"60 c #895555",
+"70 c #8a5555",
+"80 c #885a5a",
+"90 c #846a6a",
+":0 c #8c7171",
+";0 c #8d8d8d",
+"A0 c #969696",
+"B0 c #aaaaaa",
+"C0 c #c0c0c0",
+"D0 c #d0d0d0",
+"E0 c #e0e0e0",
+"F0 c #f5f5f5",
+"G0 c #fafafa",
+"H0 c #e9e9e9",
+"I0 c #d2d2d2",
+"J0 c #bdbdbd",
+"K0 c #a0a0a0",
+"L0 c #7d7d7d",
+"M0 c #646464",
+"N0 c #574a4a",
+"O0 c #672526",
+"P0 c #8d1117",
+"Q0 c #b1000a",
+"R0 c #b9010a",
+"S0 c #c2020a",
+"T0 c #c6010b",
+"U0 c #c8010c",
+"V0 c #c2010b",
+"W0 c #b6000a",
+"X0 c #ac0009",
+"Y0 c #90090d",
+"Z0 c #711e1f",
+"a0 c #613d3d",
+"b0 c #666666",
+"c0 c #828282",
+"d0 c #a2a2a2",
+"e0 c #bcbcbc",
+"f0 c #d1d1d1",
+"g0 c #e8e8e8",
+"h0 c #fcfcfc",
+"i0 c #fdfdfd",
+"j0 c #c6c6c6",
+"k0 c #a3a3a3",
+"l0 c #838383",
+"m0 c #704040",
+"n0 c #8d1015",
+"o0 c #ba010b",
+"p0 c #bd030c",
+"q0 c #af050a",
+"r0 c #a20708",
+"s0 c #a30708",
+"t0 c #a40708",
+"u0 c #a50708",
+"v0 c #aa0609",
+"w0 c #b5050a",
+"x0 c #c2020c",
+"y0 c #ba000b",
+"z0 c #96060a",
+"01 c #723535",
+"11 c #827b7b",
+"21 c #a5a5a5",
+"31 c #c5c5c5",
+"41 c #d7d7d7",
+"51 c #efefef",
+"61 c #f7f7f7",
+"71 c #ececec",
+"81 c #d9d9d9",
+"91 c #a48888",
+":1 c #9b1c21",
+";1 c #c1010d",
+"A1 c #a9050b",
+"B1 c #950809",
+"C1 c #960809",
+"D1 c #970809",
+"E1 c #980809",
+"F1 c #990809",
+"G1 c #9a0809",
+"H1 c #9b0809",
+"I1 c #9c0809",
+"J1 c #9f080a",
+"K1 c #b4040c",
+"L1 c #c1010c",
+"M1 c #9d090d",
+"N1 c #9d6666",
+"O1 c #dadada",
+"P1 c #eaeaea",
+"Q1 c #f8f8f8",
+"R1 c #fefefe",
+"S1 c #b86b6c",
+"T1 c #b7000b",
+"U1 c #ab030b",
+"V1 c #8a0708",
+"W1 c #8b0708",
+"X1 c #8c0708",
+"Y1 c #8d0708",
+"Z1 c #8e0708",
+"a1 c #8f0708",
+"b1 c #900708",
+"c1 c #910708",
+"d1 c #920708",
+"e1 c #930708",
+"f1 c #940708",
+"g1 c #980608",
+"h1 c #b1030b",
+"i1 c #bb000b",
+"j1 c #a53d3d",
+"k1 c #f6eeee",
+"l1 c #a23032",
+"m1 c #b9000b",
+"n1 c #900205",
+"o1 c #830304",
+"p1 c #840304",
+"q1 c #850304",
+"r1 c #860304",
+"s1 c #870304",
+"t1 c #ac0203",
+"u1 c #c50101",
+"v1 c #ae0203",
+"w1 c #8b0304",
+"x1 c #8c0304",
+"y1 c #8d0304",
+"z1 c #8e0304",
+"02 c #8f0304",
+"12 c #9b0205",
+"22 c #c1000c",
+"32 c #9e1012",
+"42 c #e9cfcf",
+"52 c #b33034",
+"62 c #bd000b",
+"72 c #850001",
+"82 c #810000",
+"92 c #820000",
+":2 c #830000",
+";2 c #840000",
+"A2 c #d30000",
+"B2 c #db3f3f",
+"C2 c #e77f7f",
+"D2 c #de4f4f",
+"E2 c #d21010",
+"F2 c #d40000",
+"G2 c #930001",
+"H2 c #ad1014",
+"I2 c #eacfcf",
+"J2 c #f7efef",
+"K2 c #a73032",
+"L2 c #890001",
+"M2 c #850000",
+"N2 c #860000",
+"O2 c #870000",
+"P2 c #880000",
+"Q2 c #c10101",
+"R2 c #cf0000",
+"S2 c #e36f6f",
+"T2 c #e67f7f",
+"U2 c #d10000",
+"V2 c #980001",
+"W2 c #bd000a",
+"X2 c #a61012",
+"Y2 c #eccfcf",
+"Z2 c #b05050",
+"a2 c #b9000a",
+"b2 c #8d0001",
+"c2 c #890000",
+"d2 c #8a0000",
+"e2 c #8b0000",
+"f2 c #8c0000",
+"g2 c #8d0000",
+"h2 c #b30101",
+"i2 c #ce0000",
+"j2 c #ec9e9e",
+"k2 c #d00000",
+"l2 c #af0101",
+"m2 c #9e0001",
+"n2 c #b43031",
+"o2 c #f9efef",
+"p2 c #cd8f8f",
+"q2 c #b50009",
+"r2 c #980002",
+"s2 c #8e0000",
+"t2 c #8f0000",
+"u2 c #900000",
+"v2 c #910000",
+"w2 c #9d0101",
+"x2 c #d30101",
+"y2 c #e16f6f",
+"z2 c #e57f7f",
+"03 c #d00101",
+"13 c #a50002",
+"23 c #be000a",
+"33 c #cb7070",
+"43 c #f8efef",
+"53 c #ab1014",
+"63 c #a90006",
+"73 c #920000",
+"83 c #930000",
+"93 c #940000",
+":3 c #950000",
+";3 c #960000",
+"A3 c #cc0303",
+"B3 c #cc1010",
+"C3 c #cf1f1f",
+"D3 c #c80202",
+"E3 c #b10004",
+"F3 c #b40006",
+"G3 c #efcfcf",
+"H3 c #bd6060",
+"I3 c #b40008",
+"J3 c #970000",
+"K3 c #980000",
+"L3 c #990000",
+"M3 c #9a0000",
+"N3 c #9b0000",
+"O3 c #ab0202",
+"P3 c #cf0101",
+"Q3 c #e37f7f",
+"R3 c #e78f8f",
+"S3 c #cf0202",
+"T3 c #ab0101",
+"U3 c #be0009",
+"V3 c #c34040",
+"W3 c #f3dfdf",
+"X3 c #aa0005",
+"Y3 c #a60003",
+"Z3 c #9e0000",
+"a3 c #ca0404",
+"b3 c #f8dede",
+"c3 c #fceeee",
+"d3 c #c60000",
+"e3 c #c40303",
+"f3 c #b40002",
+"g3 c #bb0007",
+"h3 c #ecbfbf",
+"i3 c #c97070",
+"j3 c #b60008",
+"k3 c #a00000",
+"l3 c #a10000",
+"m3 c #a20000",
+"n3 c #a30000",
+"o3 c #a40000",
+"p3 c #d20504",
+"q3 c #cf2f2f",
+"r3 c #cd0403",
+"s3 c #bd0007",
+"t3 c #ce5050",
+"u3 c #b11012",
+"v3 c #ae0004",
+"w3 c #a60000",
+"x3 c #a70000",
+"y3 c #b70303",
+"z3 c #cb0303",
+"04 c #dd6f6f",
+"14 c #e17f7f",
+"24 c #d10404",
+"34 c #bd0103",
+"44 c #bf0106",
+"54 c #fbefef",
+"64 c #e4afaf",
+"74 c #b50007",
+"84 c #aa0000",
+"94 c #ad0000",
+":4 c #c10000",
+";4 c #e89e9e",
+"A4 c #ecaeae",
+"B4 c #c40101",
+"C4 c #c90505",
+"D4 c #c20209",
+"E4 c #e9a0a0",
+"F4 c #d17070",
+"G4 c #b70007",
+"H4 c #b00000",
+"I4 c #b10000",
+"J4 c #b20000",
+"K4 c #b30000",
+"L4 c #d20808",
+"M4 c #efbfbf",
+"N4 c #c00000",
+"O4 c #d00707",
+"P4 c #c50309",
+"Q4 c #d95354",
+"R4 c #c23031",
+"S4 c #b70004",
+"T4 c #b60000",
+"U4 c #b70000",
+"V4 c #b80000",
+"W4 c #cd0706",
+"X4 c #fbeeee",
+"Y4 c #be0000",
+"Z4 c #d40909",
+"a4 c #cc0709",
+"b4 c #cc1419",
+"c4 c #b90004",
+"d4 c #b90001",
+"e4 c #b90000",
+"f4 c #ba0101",
+"g4 c #bb0101",
+"h4 c #bc0101",
+"i4 c #bd0202",
+"j4 c #d70c0b",
+"k4 c #bd0000",
+"l4 c #ce0807",
+"m4 c #cc070b",
+"n4 c #fae0e0",
+"o4 c #eebfbf",
+"p4 c #bd0106",
+"q4 c #be0202",
+"r4 c #bf0202",
+"s4 c #c00303",
+"t4 c #c10303",
+"u4 c #c20303",
+"v4 c #c30404",
+"w4 c #c70606",
+"x4 c #bb0000",
+"y4 c #c31f1f",
+"z4 c #d30b0b",
+"05 c #cd070c",
+"15 c #f6c2c2",
+"25 c #f0c0c0",
+"35 c #c00306",
+"45 c #c40404",
+"55 c #c50404",
+"65 c #c60505",
+"75 c #c70505",
+"85 c #c80505",
+"95 c #c80606",
+":5 c #c90606",
+";5 c #d40c0c",
+"A5 c #c21f1f",
+"B5 c #cb3f3f",
+"C5 c #c80707",
+"D5 c #d40a0e",
+"E5 c #f29595",
+"F5 c #e48282",
+"G5 c #c40408",
+"H5 c #ca0606",
+"I5 c #cb0707",
+"J5 c #cc0707",
+"K5 c #cd0707",
+"L5 c #cd0808",
+"M5 c #ce0808",
+"N5 c #cf0808",
+"O5 c #d00808",
+"P5 c #d90e0e",
+"Q5 c #c93f3f",
+"R5 c #c70807",
+"S5 c #e00e0e",
+"T5 c #d80c0f",
+"U5 c #f38787",
+"V5 c #e78383",
+"W5 c #c90609",
+"X5 c #d00909",
+"Y5 c #d10909",
+"Z5 c #d20909",
+"a5 c #d30a0a",
+"b5 c #d40a0a",
+"c5 c #d50a0a",
+"d5 c #dd1110",
+"e5 c #ba0202",
+"f5 c #c60808",
+"g5 c #e71212",
+"h5 c #ea1313",
+"i5 c #df0e11",
+"j5 c #f58888",
+"k5 c #e98484",
+"l5 c #d1090b",
+"m5 c #d50b0b",
+"n5 c #d60b0b",
+"o5 c #d70b0b",
+"p5 c #d80b0b",
+"q5 c #d80c0c",
+"r5 c #d90c0c",
+"s5 c #da0c0c",
+"t5 c #da0d0d",
+"u5 c #e21312",
+"v5 c #b50000",
+"w5 c #c83f3f",
+"x5 c #c50909",
+"y5 c #ed1716",
+"z5 c #f01414",
+"06 c #e71113",
+"16 c #f88989",
+"26 c #ec8585",
+"36 c #db0d0d",
+"46 c #dc0d0d",
+"56 c #dd0d0d",
+"66 c #dd0e0e",
+"76 c #de0e0e",
+"86 c #df0e0e",
+"96 c #e61515",
+":6 c #b40000",
+";6 c #c63f3f",
+"A6 c #c40a09",
+"B6 c #f11919",
+"C6 c #fb8b8b",
+"D6 c #f3a4a4",
+"E6 c #e00f0f",
+"F6 c #e10f0f",
+"G6 c #e20f0f",
+"H6 c #e30f0f",
+"I6 c #e31010",
+"J6 c #e41010",
+"K6 c #e51010",
+"L6 c #e51111",
+"M6 c #eb1717",
+"N6 c #b60303",
+"O6 c #c53f3f",
+"P6 c #c30a0a",
+"Q6 c #f61919",
+"R6 c #f81717",
+"S6 c #fe8c8c",
+"T6 c #f9c3c3",
+"U6 c #e61111",
+"V6 c #e71111",
+"W6 c #e81111",
+"X6 c #e81212",
+"Y6 c #e91212",
+"Z6 c #ea1212",
+"a6 c #eb1313",
+"b6 c #ec1313",
+"c6 c #ef1a19",
+"d6 c #c43f3f",
+"e6 c #c20b0b",
+"f6 c #fd1a1a",
+"g6 c #ffaaaa",
+"h6 c #fde1e1",
+"i6 c #ec1414",
+"j6 c #ed1313",
+"k6 c #ed1414",
+"l6 c #ee1414",
+"m6 c #ef1414",
+"n6 c #f01515",
+"o6 c #f11515",
+"p6 c #f21515",
+"q6 c #f41c1b",
+"r6 c #af0000",
+"s6 c #c23f3f",
+"t6 c #c10c0b",
+"u6 c #f22323",
+"v6 c #f21717",
+"w6 c #f31616",
+"x6 c #f41616",
+"y6 c #f51616",
+"z6 c #f61717",
+"07 c #f71717",
+"17 c #f81818",
+"27 c #f91919",
+"37 c #c00c0c",
+"47 c #ae0000",
+"57 c #b31010",
+"67 c #ce1615",
+"77 c #f95f5f",
+"87 c #f81b1b",
+"97 c #f91818",
+":7 c #fa1818",
+";7 c #fb1919",
+"A7 c #fc1919",
+"B7 c #fd1919",
+"C7 c #d11a19",
+"D7 c #ac0000",
+"E7 c #d31818",
+"F7 c #ff2e2e",
+"G7 c #fe9a9a",
+"H7 c #fe2020",
+"I7 c #fe1a1a",
+"J7 c #ff1b1b",
+"K7 c #ff1c1c",
+"L7 c #ff1d1d",
+"M7 c #ff1e1e",
+"N7 c #ff1f1f",
+"O7 c #ff2020",
+"P7 c #d71b1a",
+"Q7 c #faeeee",
+"R7 c #ab0000",
+"S7 c #e22423",
+"T7 c #ff3535",
+"U7 c #ff9b9b",
+"V7 c #ff2121",
+"W7 c #ff2222",
+"X7 c #ff2323",
+"Y7 c #ff2424",
+"Z7 c #ff2525",
+"a7 c #ff2626",
+"b7 c #e72524",
+"c7 c #e4aeae",
+"d7 c #eabfbf",
+"e7 c #a90000",
+"f7 c #ed2e2d",
+"g7 c #ff3c3c",
+"h7 c #ffe6e6",
+"i7 c #ff2727",
+"j7 c #ff2828",
+"k7 c #ff2929",
+"l7 c #ff2a2a",
+"m7 c #ff2b2b",
+"n7 c #ff2c2c",
+"o7 c #ff2d2d",
+"p7 c #fd3433",
+"q7 c #a80000",
+"r7 c #d37f7f",
+"s7 c #d98f8f",
+"t7 c #ad0404",
+"u7 c #fd3e3d",
+"v7 c #ffc9c9",
+"w7 c #ff2f2f",
+"x7 c #ff3030",
+"y7 c #ff3131",
+"z7 c #ff3232",
+"08 c #ff3333",
+"18 c #ff3434",
+"28 c #fe3636",
+"38 c #bc3f3f",
+"48 c #c75f5f",
+"58 c #c01413",
+"68 c #ff4444",
+"78 c #ffbbbb",
+"88 c #ff3636",
+"98 c #ff3737",
+":8 c #ff3838",
+";8 c #ff3939",
+"A8 c #ff3a3a",
+"B8 c #ff3b3b",
+"C8 c #db2928",
+"D8 c #a50000",
+"E8 c #f9eeee",
+"F8 c #aa1010",
+"G8 c #db2c2a",
+"H8 c #ff4b4b",
+"I8 c #ffd9d9",
+"J8 c #ff4343",
+"K8 c #ff3d3d",
+"L8 c #ff3e3e",
+"M8 c #ff3f3f",
+"N8 c #ff4040",
+"O8 c #ff4141",
+"P8 c #ff4242",
+"Q8 c #f8403f",
+"R8 c #a80504",
+"S8 c #dc9e9e",
+"T8 c #e2aeae",
+"U8 c #a80404",
+"V8 c #f84847",
+"W8 c #ff5252",
+"X8 c #ff5353",
+"Y8 c #ffd5d5",
+"Z8 c #ffa2a2",
+"a8 c #ff4545",
+"b8 c #ff4646",
+"c8 c #ff4747",
+"d8 c #ff4848",
+"e8 c #ff4949",
+"f8 c #ff4a4a",
+"g8 c #cf2524",
+"h8 c #ad1f1f",
+"i8 c #b93f3f",
+"j8 c #c31d1c",
+"k8 c #ff5a5a",
+"l8 c #ff5b5b",
+"m8 c #ff4c4c",
+"n8 c #ff4d4d",
+"o8 c #ff4e4e",
+"p8 c #ff4f4f",
+"q8 c #ff5050",
+"r8 c #ff5151",
+"s8 c #f84b49",
+"t8 c #cf7f7f",
+"u8 c #d58f8f",
+"v8 c #a60505",
+"w8 c #f85150",
+"x8 c #ff6161",
+"y8 c #ff6262",
+"z8 c #ff6363",
+"09 c #ff8d8d",
+"19 c #ff8888",
+"29 c #ff5454",
+"39 c #ff5555",
+"49 c #ff5656",
+"59 c #ff5757",
+"69 c #ff5858",
+"79 c #ff5959",
+"89 c #e63e3c",
+"99 c #9f0000",
+":9 c #da9e9e",
+";9 c #e7bfbf",
+"A9 c #a51010",
+"B9 c #e03b3a",
+"C9 c #ff6969",
+"D9 c #ff6a6a",
+"E9 c #ff6b6b",
+"F9 c #ff8f8f",
+"G9 c #ff9696",
+"H9 c #ff5c5c",
+"I9 c #ff5d5d",
+"J9 c #ff5e5e",
+"K9 c #ff5f5f",
+"L9 c #ff6060",
+"M9 c #d93736",
+"N9 c #a30505",
+"O9 c #c15f5f",
+"P9 c #f3dede",
+"Q9 c #ce7f7f",
+"R9 c #9d0000",
+"S9 c #d93634",
+"T9 c #ff6968",
+"U9 c #ff8c8c",
+"V9 c #ffe4e4",
+"W9 c #fff5f5",
+"X9 c #ff9f9f",
+"Y9 c #ff6464",
+"Z9 c #ff6565",
+"a9 c #ff6666",
+"b9 c #ff6767",
+"c9 c #ff6868",
+"d9 c #ff6867",
+"e9 c #f25250",
+"f9 c #ba1b1a",
+"g9 c #a81f1f",
+"h9 c #b43f3f",
+"i9 c #ae2f2f",
+"j9 c #9c0000",
+"k9 c #b41615",
+"l9 c #ec4d4b",
+"m9 c #ff7170",
+"n9 c #ff7373",
+"o9 c #ff7474",
+"p9 c #ff9c9c",
+"q9 c #ffa5a5",
+"r9 c #fff6f6",
+"s9 c #ffbfbf",
+"t9 c #ff9797",
+"u9 c #ff6c6c",
+"v9 c #ff6d6d",
+"w9 c #ff6e6e",
+"x9 c #ff6f6f",
+"y9 c #ff7070",
+"z9 c #ff7171",
+"0: c #ff6c6b",
+"1: c #f25856",
+"2: c #cc2d2b",
+"3: c #df4341",
+"4: c #f25a58",
+"5: c #ff706f",
+"6: c #ffa8a8",
+"7: c #ffc5c5",
+"8: c #ffe2e2",
+"9: c #ffbcbc",
+":: c #ff7575",
+";: c #ff7676",
+"A: c #ff7777",
+"B: c #ff7878",
+"C: c #ff7979",
+"D: c #ff7a7a",
+"E: c #ff7b7b",
+"F: c #ff7c7c",
+"G: c #ff7d7d",
+"H: c #ff7e7e",
+"I: c #ff9393",
+"J: c #ffadad",
+"K: c #ffc1c1",
+"L: c #ffe3e3",
+"M: c #ffecec",
+"N: c #ffc0c0",
+"O: c #ff9e9e",
+"P: c #ff8989",
+"Q: c #ff7f7f",
+"R: c #ff8080",
+"S: c #ff8181",
+"T: c #ff8282",
+"U: c #ff8383",
+"V: c #ff8484",
+"W: c #ff8585",
+"X: c #ff8686",
+"Y: c #ff8787",
+"Z: c #ff9292",
+"a: c #ffb4b4",
+"b: c #ffcece",
+"c: c #ffe8e8",
+"d: c #fff3f3",
+"e: c #ffdede",
+"f: c #ffcfcf",
+"g: c #ffbdbd",
+"h: c #ffb3b3",
+"i: c #ffb0b0",
+"j: c #ffa6a6",
+"k: c #ffa7a7",
+"l: c #ffafaf",
+"m: c #ffb6b6",
+"n: c #ffc8c8",
+"o: c #ffd2d2",
+"p: c #ffe1e1",
+"q: c #fff4f4",
+"r: c #fff8f8",
+"s: c #fff1f1",
+"t: c #ffeaea",
+"u: c #ffffff",
+" u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:",
+" u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:t:s:s:s:s:t:r:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:",
+" u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:q:p:o:n:m:l:k:k:j:j:i:h:g:f:e:d:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:",
+" u:u:u:u:u:u:u:u:u:u:u:u:u:c:b:a:j:Z:Y:X:W:V:U:T:S:R:R:Q:P:O:l:N:M:u:u:u:u:u:u:u:u:u:u:u:u:u:u:",
+" u:u:u:u:u:u:u:u:u:u:u:L:K:J:I:T:S:R:Q:Q:H:G:F:E:D:C:C:B:A:;:::T:O:9:8:u:u:u:u:u:u:u:u:u:u:u:u:",
+" u:u:u:u:u:u:u:u:u:u:7:6:Z:G:F:E:D:C:B:5:4:3:2:2:3:1:0:z9y9x9w9v9u9G:t9s9u:u:u:u:u:u:u:u:u:u:u:",
+" u:u:u:u:u:u:u:u:r9q9p9G:;:;:::o9n9m9l9k9j9i9h9h9g9j9f9e9d9c9b9a9Z9Y9b9X:X9W9u:u:u:u:u:u:u:u:u:",
+" u:u:u:u:u:u:u:V9O:U9o9y9x9x9w9v9T9S9R9Q9P9u:u:u:u:P9O9N9M9L9L9K9J9I9I9H9C:G9q:u:u:u:u:u:u:u:u:",
+" u:u:u:u:u:u:r9F9V:E9D9C9c9b9b9a9B9A9;9u:u:u:u:u:u:u:u::9998979695949393929x919q:u:u:u:u:u:u:u:",
+" u:u:u:u:u:u:09T:b9Y9z8y8x8L9L9w8v8u8u:u:u:u:u:u:u:u:u:u:t8v8s8r8q8p8o8o8n8m8Z9V:u:u:u:u:u:u:u:",
+" u:u:u:u:u:k:E:x8J9I9H9l8k87969j8i8u:u:u:u:u:u:u:u:u:u:u:u:h8g8f8e8d8c8c8b8a8b8K9Z8u:u:u:u:u:u:",
+" u:u:u:u:Y8D9x85959493929X8W8V8U8T8u:u:u:u:u:u:u:u:u:u:u:u:S8R8Q8P8O8O8N8M8L8K8J8o8I8u:u:u:u:u:",
+" u:u:u:u:::z8r8q8q8p8o8n8m8H8G8F8u:u:u:u:u:u:u:u:u:u:u:u:u:E8D8C8B8A8A8;8:8988888c8D9u:u:u:u:u:",
+" u:u:u:7879o8f8e8e8d8c8b8a8685848u:u:u:u:u:u:u:u:u:u:u:u:u:u:3858281808z7y7x7w7w7y7B8v7u:u:u:u:",
+" u:u:u:;:q868J8P8P8O8N8M8L8u7t7s7u:u:u:u:u:u:u:u:u:u:u:u:u:u:r7q7p7o7n7m7l7l7k7j7i7z7C9u:u:u:u:",
+" u:u:h7a8O8K8K8g7B8A8;8:898f7e7d7u:u:u:u:u:u:u:u:u:u:u:u:u:u:c7e7b7a7Z7Z7Y7X7W7V7V7X7Y78:u:u:u:",
+" u:u:U7L8988888T71808z7z7y7S7R7Q7u:u:u:u:u:u:u:u:u:u:u:u:u:u:d7R7P7O7N7M7L7L7K7J7J7I7H7G7u:u:u:",
+" u:u:69T7x7x7w7F7o7n7n7m7l7E7D7u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:D7C7I7I7B7B7A7;7:7:7978777u:u:u:",
+" u:u:o7m7l7k7j7i7i7a7Z7Y7X76757u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:473727170707z6y6y6x6w6v6u6u:u:u:",
+" u:n:a7Y7X7W7W7V7O7N7M7M7L7t6s6u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:r6t6q6p6p6o6n6m6m6l6k6j6i6h6u:u:",
+" u:g6N7M7L7K7J7J7I7I7f6B7A7e6d6u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:d6e6c6b6b6a6Z6Z6Y6X6W6V6U6T6u:u:",
+" u:S6f6B7A7;7:7:79717R607Q6P6O6u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:O6N6M6V6U6L6K6J6I6H6G6F6E6D6u:u:",
+" u:C60707z6y6y6x6w6p6p6o6B6A6;6u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:;6:696F6E6868676665646363626u:u:",
+" u:1606o6n6z5m6l6k6j6b6a6y5x5w5u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:w5v5u536t5s5r5q5p5o5n5m5l5k5u:u:",
+" u:j5i5a6h5Z6Y6X6W6V6U6U6g5f5w5u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:w5e5d5m5c5b5a5a5Z5Y5X5X5W5V5u:u:",
+" u:U5T5L6K6J6I6I6G6F6E6S586R5Q5u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:Q5R5P5O5N5M5L5K5J5I5I5H5G5F5u:u:",
+" u:E5D5S586767656463636s5r5C5B5u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:A5C5;5H5:5958575656555453525u:u:",
+" u:1505s5r5q5p5o5n5n5c5b5a5z4y4u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:x4:5w445v4v4u4t4t4s4r4q4p4o4u:u:",
+" u:n4m4b5a5a5Z5Y5Y5X5N5M5M5l4k4u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:k4j4r4q4q4i4h4g4g4f4e4d4c4u:u:u:",
+" u:u:b4a4M5K5J5I5I5H5:5:595Z4Y4u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:X4Y4W4e4e4V4U4U4T4v5v5:6S4R4u:u:u:",
+" u:u:Q4P495756565554545v4u4O4N4M4u:u:u:u:u:u:u:u:u:u:u:u:u:u:M4N4L4:6K4K4J4I4I4H4H4r6G4F4u:u:u:",
+" u:u:E4D4u4t4t4s4r4q4q4i4h4C4B4A4u:u:u:u:u:u:u:u:u:u:u:u:u:u:;4:4:5r6474794D7D7R7R7847464u:u:u:",
+" u:u:544434g4g4f4e4e4V4V4U4f42414u:u:u:u:u:u:u:u:u:u:u:u:u:u:04z3y384e7e7q7x3x3w3D8v3u3u:u:u:u:",
+" u:u:u:t3s3T4v5v5:6:6K4J4J4I4r3q3u:u:u:u:u:u:u:u:u:u:u:u:u:u:q3p3D8D8o3n3n3m3m3l3k3j3i3u:u:u:u:",
+" u:u:u:h3g3f3H4H4r647479494D7e3d3c3u:u:u:u:u:u:u:u:u:u:u:u:b3d3a3k3k399Z3Z3R9j9j9Y3X3W3u:u:u:u:",
+" u:u:u:u:V3U3R7R784e7e7q7q7x3T3S3R3u:u:u:u:u:u:u:u:u:u:u:u:Q3P3O3N3M3M3L3L3K3J3J3I3H3u:u:u:u:u:",
+" u:u:u:u:G3F3E3D8D8o3o3n3m3m3l3D3C3u:u:u:u:u:u:u:u:u:u:u:c3B3A3J3;3:3:393838373635343u:u:u:u:u:",
+" u:u:u:u:u:332313k399Z3Z3R9R9j9k303z2u:u:u:u:u:u:u:u:u:u:y2x2w2v2v2u2u2t2s2s2r2q2p2u:u:u:u:u:u:",
+" u:u:u:u:u:o2n223m2M3L3L3K3K3J3;3l2k2j2u:u:u:u:u:u:u:u:j2i2h2g2f2f2e2d2d2c2b2a2Z2u:u:u:u:u:u:u:",
+" u:u:u:u:u:u:Y2X2W2V29393837373v2v2D7U2T2c3u:u:u:u:c3S2R2Q2P2P2O2O2N2M2M2L2a2K2J2u:u:u:u:u:u:u:",
+" u:u:u:u:u:u:u:I2H2W2G2t2s2s2g2f2f2e2x3F2E2D2C2C2B2R2A2n3;2;2:292928282726252J2u:u:u:u:u:u:u:u:",
+" u:u:u:u:u:u:u:u:423222120202z1y1y1x1x1w1v1r4u1u1e5t1s1r1q1q1p1p1o1o1n1m1l1J2u:u:u:u:u:u:u:u:u:",
+" u:u:u:u:u:u:u:u:u:k1j1i1h1g1f1e1e1d1d1c1c1b1b1a1a1Z1Z1Y1X1X1W1W1V1U1T1S1R1u:u:u:u:u:u:u:u:u:u:",
+" u:u:u:u:u:u:u:u:Q1P1O1N1M1L1K1J1I1H1H1G1F1F1E1E1E1D1D1C1C1B1B1A1;1:191817161R1u:u:u:u:u:u:u:u:",
+" u:u:u:u:u:u:u:R1514131211101z0y0x0w0v0u0u0t0t0t0s0s0r0t0q0p0o0n0m0l0k0j0O171i0u:u:u:u:u:u:u:u:",
+" u:u:u:u:u:u:u:u:h0g0f0e0d0c0b0a0Z0Y0X0W0V0U0T0S0U0U0R0Q0P0O0N0M0L0K0J0I0H0G0u:u:u:u:u:u:u:u:u:",
+" u:u:u:u:u:u:u:u:u:u:i0F0P1E0D0C0B0A0;0:090807060509040;0A021302010g000h0u:u:u:u:u:u:u:u:u:u:u:",
+" u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:u:"};